Select Publications

Conference Papers

Zhang X; Wu H; Sun H; Xue J, 2014, 'Lifetime holes aware register allocation for clustered VLIW processors', in Proceedings -Design, Automation and Test in Europe, DATE, Dresden, pp. 90:1 - 90:4, presented at International Conference on Design, Automation and Test in Europe, Dresden, 24 March 2014 - 28 March 2014, http://dx.doi.org/10.7873/DATE2014.103

Ye D; Sui Y; Xue J, 2014, 'Accelerating Dynamic Detection of Uses of Undefined Values with Static Value-Flow Analysis', in Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization, ACM, presented at CGO '14: 12th Annual IEEE/ACM International Symposium on Code Generation and Optimization, http://dx.doi.org/10.1145/2581122.2544154

Cui H; Ruan G; Xue J; Xie R; Wang L; Feng X, 2014, 'A collaborative divide-and-conquer k-means clustering algorithm for processing large data', in Proceedings of the 11th ACM Conference on Computing Frontiers, CF 2014, http://dx.doi.org/10.1145/2597917.2597918

Ye D; Sui Y; Xue J, 2014, 'Accelerating dynamic detection of uses of undefined values with static value-flow analysis', in Proceedings of the 12th ACM/IEEE International Symposium on Code Generation and Optimization, CGO 2014, pp. 154 - 164, http://dx.doi.org/10.1145/2544137.2544154

Franke B; Xue J, 2013, 'Foreword', in Proceedings of the ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES)

Su Y; Ye D; Xue J, 2013, 'Accelerating Inclusion-based Pointer Analysis on Heterogeneous CPU-GPU Systems', Bengaluru (Bangalore), India, presented at IEEE International Conference on High Performance Computing (HiPC’13), Bengaluru (Bangalore), India, 18 December 2013 - 21 December 2013, http://www.cse.unsw.edu.au/~jingling/papers/hipc13.pdf

Zhao J; Feng X; Cui H; Yan Y; Xue J; Yang W, 2013, 'An empirical model for predicting cross-core performance interference on multicore processors', in Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, pp. 201 - 212, http://dx.doi.org/10.1109/PACT.2013.6618817

Cui H; Yi Q; Feng X; Xue J, 2013, 'Layout Oblivious Compiler Optimization for Matrix Multiplication', in 8th International Conference on High-Performance Embedded Architectures and Compilers, ACM, Berlin, presented at HIPEAC'13, Berlin, 21 June 2013 - 23 June 2013

Li Y; Sui Y; Xue J, 2013, 'Query-directed adaptive heap cloning for optimizing compilers', in Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2013, Shenzhen; China, presented at 11th IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2013, Shenzhen; China, 23 February 2013 - 27 February 2013, http://dx.doi.org/10.1109/CGO.2013.6494978

Lu Y; Potter JM; Xue J, 2012, 'Ownership Types for Object Synchronisation', in Lecture Notes in Computer Science, Springer-Verlag, Heidelberg, Germany, pp. 18 - 33, presented at APLAS, Kyoto Japan, 11 December 2012, http://dx.doi.org/10.1007/978-3-642-35182-2

Lu Y; Potter J; Xue J, 2012, 'Ownership types for object synchronisation', in Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), pp. 18 - 33, http://dx.doi.org/10.1007/978-3-642-35182-2_3

Sui Y; Ye D; Xue J, 2012, 'Static memory leak detection using full-sparse value-flow analysis', Minneapolis, MN, pp. 254 - 264, presented at 21st International Symposium on Software Testing and Analysis, ISSTA 2012, Minneapolis, MN, 15 July 2012 - 20 July 2012, http://dx.doi.org/10.1145/04000800.2336784

Sui Y; Ye D; Xue J, 2012, 'Static memory leak detection using full-sparse value-flow analysis', in Proceedings of the 2012 International Symposium on Software Testing and Analysis, ACM, presented at ISSTA '12: International Symposium on Software Testing and Analysis, http://dx.doi.org/10.1145/2338965.2336784

Wan Q; Wu H; Xue J, 2012, 'WCET-Aware Data Selection and Allocation for Scratchpad Memory', in Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, ASSOC COMPUTING MACHINERY, Beijing, pp. 41 - 50, presented at LCTES'12, Beijing, 12 June 2012 - 13 June 2012, http://dx.doi.org/10.1145/2345141.2248425

Shang L; Xie X; Xue J, 2012, 'On-demand dynamic summary-based points-to analysis', in Proceedings - International Symposium on Code Generation and Optimization, CGO 2012, ACM, New York, NY, USA, pp. 264 - 274, presented at 10th International Symposium on Code Generation and Optimization, CGO, San Jose, CA, 31 March 2012 - 04 April 2012, http://dx.doi.org/10.1145/2259016.2259050

Wan Q; Wu H; Xue J, 2012, 'WCET-aware Data Selection and Allocation for Scratchpad Memory', in Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, ACM, pp. 41 - 50, http://dx.doi.org/10.1145/2248418.2248425

Cui H; Xue J; Wang L; Yang Y; Feng X-B; Fan D, 2011, 'Extendable pattern-oriented optimization directives', in Proceedings - International Symposium on Code Generation and Optimization, CGO 2011, IEEE Computer Society, Piscataway, NJ, United States, pp. 107 - 118, presented at 9th International Symposium on Code Generation and Optimization, CGO 2011, Chamonix, France, 02 April 2011 - 06 April 2011, http://dx.doi.org/10.1109/CGO.2011.5764679

Mahmud S; Wu H; Xue J, 2011, 'Efficient energy balancing aware multiple base station deployment for WSNs', in Wireless Sensor Networks - 8th European Conference, EWSN 2011, Proceedings, Springer Verlag, Heidelberg, Germany, pp. 179 - 194, presented at 8th European Conference on Wireless Sensor Networks, EWSN 2011, Bonn, Germany, 23 February 2011 - 25 February 2011, http://dx.doi.org/10.1007/978-3-642-19186-2_12

Liu D; Shao Z; Wang M; Guo M; Xue J, 2010, 'Optimal Loop Parallelization for Maximizing Iteration-Level Parallelism', in International Conference on Compilers, Architecture and Synthesis for Embedded Systems archive Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, ACM, 2009, presented at International Conferences on Compilers, Architectures, and Synthesis for Embedded Systems, Scottsdale, AZ, 24 October 2010 - 29 October 2010, http://dx.doi.org/10.1145/1629395.1629407

Liao X; Xue J, 2010, 'Message from the PDCAT 2010 program co-chairs', in Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, pp. 14, http://dx.doi.org/10.1109/PDCAT.2010.5

Lu Y; Potter JM; Xue J, 2009, 'Ownership Downgrading for Ownership Types', in Lecture Notes In Computer Science; Vol. 5904: Proceedings of the 7th Asian Symposium on Programming Languages and Systems, Springer-Verlag, Berlin, Heidelberg, presented at 7th Asian Symposium on Programming Languages and Systems, Seoul, Korea, 14 December 2009 - 16 December 2009, http://dx.doi.org/10.1007/978-3-642-10672-9_12

Gao L; Li L; Xue J; Ngai T, 2009, 'Exploiting Speculative TLP in Recursive Programs by Dynamic Thread Prediction', in Proceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, Springer-Verlag, Berlin, Heidelberg, presented at 18th International Conference on Compiler Construction, York, UK, 22 March 2009 - 29 March 2009, http://dx.doi.org/10.1007/978-3-642-00722-4_7

Yang X; Wang L; Xue J; Deng Y; Zhang Y, 2009, 'Comparability graph coloring for optimizing utilization of stream register files in stream processors', in Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP, Raleigh, pp. 111 - 120, presented at 14th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming (PPoPP'09), Raleigh, 14 February 2009 - 18 February 2009, http://dx.doi.org/10.1145/1504176.1504195

Yang X; Wang L; Xue J; zhang Y; Deng Y, 2009, 'Compatibility Graph Coloring for Optimizing Utilization Of Stream Register files in Stream processors', in Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP, ACM, USA, presented at 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, Raleigh, NC, 14 February 2009 - 18 February 2009, http://dx.doi.org/10.1145/1504176.1504195

Ku AK; Kuo JY; Xue J, 2008, 'Hardware support for efficient sparse matrix vector multiplication', in 2008 IEEE/IFIP international conference on embedded and ubiquitous computing, Proceedings, Shanghai, China, pp. 37 - 43, presented at 2008 IEEE/IFIP international conference on embedded and ubiquitous computing, Shanghai, China, 17 December 2008 - 20 December 2008

Kuo JY; Ku AK; Xue J; Diessel OF; Malik U, 2008, 'ACS: an addressless configuration support for efficient partial reconfigurations', in International conference on field-programmable technology, Proceedings, Taipei, Taiwan, pp. 161 - 168, presented at International conference on field-programmable technology, Taipei, Taiwan, 07 December 2008 - 10 December 2008

Yang X; Zhang Y; Xue J; Rogers I; Li G; Wang G, 2008, 'Exploiting loop-dependent stream reuse for stream processors', in Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, pp. 22 - 31, http://dx.doi.org/10.1145/1454115.1454121

Ku AKA; Kuo JYC; Xue J, 2008, 'A gather/scatter hardware support for efficient fast fourier transform', in 13th IEEE Asia-Pacific Computer Systems Architecture Conference, ACSAC 2008, http://dx.doi.org/10.1109/APCSAC.2008.4625444

Lin L; Nguyen QH; Li L; Xue J, 2008, 'Thread sensitive module scheduling for multicore processors', in 37th international conference on parallel processing, Portland, Oregon, USA, presented at 37th international conference on parallel processing, Portland, Oregon, USA, 09 September 2008 - 12 September 2008

Ku AK; Kuo JY; Xue J, 2008, 'A gather/scatter hardware support for efficient fast Fourier transform', in ACSAC 2008 13th IEEE Asia-Pacific computer systems architecture conference, Hsinchu, Taiwan, pp. 1 - 8, presented at ACSAC 2008 13th IEEE Asia-Pacific computer systems architecture conference, Hsinchu, Taiwan, 04 August 2008 - 06 August 2008

Wang L; Yang X; Xue J; Deng Y; Yan X; Tang T; Nguyen QH, 2008, 'Optimizing scientific application loops on stream processors', in ACM SIGPLAN Notices, pp. 161 - 170, http://dx.doi.org/10.1145/1379023.1375679

Xue J, 2008, 'Optimizing scientific application loops on stream processors', in ACM Sigplan Notices, Association of Computing Machinery, New York, NY, USA, presented at ACM Sigplan Notices

Gao L; Li L; Xue J; Ngai T, 2007, 'Loop recreation for thread-level speculation', in 13th International Conference on Parallel and Distributed Systems (ICPADS 2007), Hsinchu, Taiwan, presented at 13th International Conference on Parallel and Distributed Systems (ICPADS 2007), Hsinchu, Taiwan, 05 December 2007 - 07 December 2007

Pan L; Xue J; Lai MT; Dillencourt M; Bic L, 2007, 'Toward automatic data distribution for migrating computations', in 2007 International conference on parallel processing, China, presented at International conference on parallel processing 2007, China, 10 September 2007 - 14 September 2007

Li L; Wu H; Feng H; Xue J, 2007, 'Towards data tiling for whole programs in scratchpad memory allocation', in 12th Asia-Pacific computer systems architecture conference, Korea, presented at 12th Asia-Pacific computer systems architecture conference, Korea, 22 August 2007 - 25 August 2007

Lu Y; Potter JM; Xue J, 2007, 'Validity invariants and effects', in 21st European conference on object oriented programming, Berlin, Germany, presented at 21st European conference on object oriented programming, Berlin, Germany, 30 July 2007 - 03 August 2007

Li L; Nguyen QH; Xue J, 2007, 'Scratchpad allocation for data aggregates in superperfect graphs', in 2007 ACM conference on languages, compilers and tools for embedded systems, San Diego, Californa, USA, presented at ACM conference on languages, compilers and tools for embedded systems 2007, San Diego, Californa, USA, 13 June 2007 - 15 June 2007

Scholz B; Burgstaller B; Xue J, 2006, 'Minimizing Bank Selection Instructions for Partitioned Memory Architectures', in International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Seoul, South Korea, presented at International Conference on Compilers, Architecture, and Synthesis for Embedded Systems 2009, Seoul, South Korea, 22 October 2006 - 25 October 2006

Li L; Xue J, 2006, 'Trace-based cache leakage reduction at link time', in Advances in computer systems architecture, 11th Asia-Pacific conference, Shanghai, China, presented at Advances in computer systems architecture, 11th Asia-Pacific conference, Shanghai, China, 06 September 2006 - 08 September 2006

Wu H; Jaffar J; Xue J, 2006, 'Instruction scheduling with release times and deadlines on ILP processors', in 12th IEEE international conference on embedded and real-time computing systems, Sydney, presented at 12th IEEE international conference on embedded and real-time computing systems, Sydney, 16 August 2006 - 18 August 2006

Ye B; Guo M; Xue J, 2006, 'CoopStream: a cooperative cache based streaming schedule scheme for on-demand media services on overlay networks', in International conference on parallel processing, Columbus, Ohio, USA, presented at International conference on parallel processing, Columbus, Ohio, USA, 14 August 2006 - 18 August 2006

Gentile A; Verdoscia L; Vitabile S; Abderazek BA; Yang LT, 2006, 'Message from IWEC workshop co-chairs', in Proceedings of the International Conference on Parallel Processing Workshops, http://dx.doi.org/10.1109/ICPPW.2006.47

Xue J; Knoop J, 2006, 'A fresh look at PRE as a maximum flow problem', in 15th international conference on compiler construction, Vienna, Austria, presented at 15th international conference on compiler construction, Vienna, Austria, 30 March 2006 - 31 March 2006

Wu H; Jaffar J; Xue J, 2006, 'Instruction Scheduling with Release Times and Deadlines on ILP Processors', in Proceedings of the 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE, Sydney, presented at 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Sydney, http://dx.doi.org/10.1109/RTCSA.2006.39

Xue J, 2005, 'Compiler-directed scratchpad memory management', in Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), pp. 2, http://dx.doi.org/10.1007/11599555_2

Chang WL; Ho M; Guo M; Jiang X; Xue J; Li M, 2005, 'Fast parallel DNA-based algorithms for molecular computation: Determining a prime number', in Proceedings - 3rd International Conference on Information Technology and Applications, ICITA 2005, pp. 447 - 452

Xue J, 2005, 'Aggressive loop fusion for improving locality and parallelism', in Proceedings of the First International Conference on Advances in Natural Computation (ICNC 2005), Part III, Lecture Notes in Computer Science 3612/2005, Springer, Berlin, Germany, presented at international symposium on parallel and distributed processing and applications 2005, Nanjing, China, 02 November 2005 - 05 November 2005

Yang X; Xue J; Yang C, 2005, 'Improving the performance of GCC by exploiting IA-64 architectural features', in Proceedings of the First International Conference on Advances in Natural Computation (ICNC 2005), Part III, Lecture Notes in Computer Science 3612/2005, Springer, Berlin, Germany, presented at 10th Asia-Pacific conference on computer systems architecture, Singapore, 24 October 2005 - 26 October 2005

Li L; Gao L; Xue J, 2005, 'Memory coloring: a compiler approach for scratchpad memory management', in 1th International conference on parallel architectures and compilation, Saint Louis, Missouri, USA, presented at 1th International conference on parallel architectures and compilation, Saint Louis, Missouri, USA, 17 September 2005 - 21 September 2005

Xue J; Huang Q; Guo M, 2005, 'Enabling loop fusion and tiling for cache performance by fixing fusion-preventing data dependencies', in 2005 international conference on parallel processing, Oslo, Norway, presented at international conference on parallel processing 2005, Oslo, Norway, 14 June 2005 - 17 June 2005


Back to profile page