Select Publications

Journal articles

Xue J; Lengauer C, 1992, 'The synthesis of control signals for one-dimensional systolic arrays', Integration, the VLSI Journal, 14, pp. 1 - 32, http://dx.doi.org/10.1016/0167-9260(92)90008-M

Lengauer C; Xue J, 1991, 'A systolic array for pyramidal algorithms', Journal of VLSI signal processing systems for signal, image and video technology, 3, pp. 237 - 257, http://dx.doi.org/10.1007/BF00925834

XUE JINGLING, 1991, 'SPECIFYING CONTROL SIGNALS FOR SYSTOLIC ARRAYS BY UNIFORM RECURRENCE EQUATIONS', Parallel Processing Letters, 01, pp. 83 - 93, http://dx.doi.org/10.1142/S0129626491000033

Xue J-L; Hong X-L, 1988, 'A new data structure for representing cell hierarchy in layout design', Computers & Graphics, 12, pp. 341 - 348, http://dx.doi.org/10.1016/0097-8493(88)90055-6

Conference Papers

Li W; He D; Gui Y; Chen W; Xue J, 2024, 'A Context-Sensitive Pointer Analysis Framework for Rust and Its Application to Call Graph Construction', in CC 2024 - Proceedings of the 33rd ACM SIGPLAN International Conference on Compiler Construction, pp. 60 - 72, http://dx.doi.org/10.1145/3640537.3641574

Peng Y; Xie J; Yang Q; Guo H; Li Q; Xue J; Yuan M, 2023, 'Statistical Type Inference for Incomplete Programs', in ESEC/FSE 2023 - Proceedings of the 31st ACM Joint Meeting European Software Engineering Conference and Symposium on the Foundations of Software Engineering, pp. 720 - 732, http://dx.doi.org/10.1145/3611643.3616283

Liu J; Liu J; Di P; Wu D; Zheng H; Liu AX; Xue J, 2023, 'Hybrid Inlining: A Framework for Compositional and Context-Sensitive Static Analysis', in ISSTA 2023 - Proceedings of the 32nd ACM SIGSOFT International Symposium on Software Testing and Analysis, pp. 114 - 126, http://dx.doi.org/10.1145/3597926.3598042

He D; Gui Y; Gao Y; Xue J, 2023, 'Reducing the Memory Footprint of IFDS-Based Data-Flow Analyses using Fine-Grained Garbage Collection', in ISSTA 2023 - Proceedings of the 32nd ACM SIGSOFT International Symposium on Software Testing and Analysis, pp. 101 - 113, http://dx.doi.org/10.1145/3597926.3598041

Liu H; Zheng L; Liao X; Huang Y; Liu C; Jin H; Ye X; Yuan J; Xue J, 2023, 'Accelerating Personalized Recommendation with Cross-level Near-Memory Processing', in Proceedings - International Symposium on Computer Architecture, pp. 924 - 936, http://dx.doi.org/10.1145/3579371.3589101

Zhang Z; Ou Y; Liu Y; Wang C; Zhou Y; Wang X; Zhang Y; Ouyang Y; Shan J; Wang Y; Xue J; Cui H; Feng X, 2023, 'Occamy: Elastically Sharing a SIMD Co-processor across Multiple CPU Cores', in International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS, pp. 483 - 497, http://dx.doi.org/10.1145/3582016.3582046

Zheng L; Ye X; Liu H; Wang Q; Huang Y; Gui C; Yao P; Liao X; Jin H; Xue J, 2023, 'AFaVS: Accurate Yet Fast Version Switching for Graph Processing Systems', in Proceedings - International Conference on Data Engineering, pp. 53 - 66, http://dx.doi.org/10.1109/ICDE55515.2023.00012

Lu J; He D; Li W; Gao Y; Xue J, 2023, 'Automatic Generation and Reuse of Precise Library Summaries for Object-Sensitive Pointer Analysis', in Proceedings - 2023 38th IEEE/ACM International Conference on Automated Software Engineering, ASE 2023, pp. 736 - 747, http://dx.doi.org/10.1109/ASE56229.2023.00039

Gui Y; He D; Xue J, 2023, 'Merge-Replay: Efficient IFDS-Based Taint Analysis by Consolidating Equivalent Value Flows', in Proceedings - 2023 38th IEEE/ACM International Conference on Automated Software Engineering, ASE 2023, pp. 319 - 331, http://dx.doi.org/10.1109/ASE56229.2023.00027

Yin J; Li M; Li Y; Yu Y; Lin B; Zou Y; Liu Y; Huo W; Xue J, 2023, 'RSFuzzer: Discovering Deep SMI Handler Vulnerabilities in UEFI Firmware with Hybrid Fuzzing', in Proceedings - IEEE Symposium on Security and Privacy, pp. 2155 - 2169, http://dx.doi.org/10.1109/SP46215.2023.10179421

Shi C; Li H; Sui Y; Lu J; Li L; Xue J, 2023, 'Two Birds with One Stone: Multi-Derivation for Fast Context-Free Language Reachability Analysis', in Proceedings - 2023 38th IEEE/ACM International Conference on Automated Software Engineering, ASE 2023, pp. 624 - 636, http://dx.doi.org/10.1109/ASE56229.2023.00118

He D; Lu J; Xue J, 2022, 'Qilin: A New Framework For Supporting Fine-Grained Context-Sensitivity in Java Pointer Analysis', in Leibniz International Proceedings in Informatics, LIPIcs, http://dx.doi.org/10.4230/LIPIcs.ECOOP.2022.30

Yin J; Li M; Wu W; Sun D; Zhou J; Huo W; Xue J, 2022, 'Finding SMM Privilege-Escalation Vulnerabilities in UEFI Firmware with Protocol-Centric Static Analysis', in 43RD IEEE SYMPOSIUM ON SECURITY AND PRIVACY (SP 2022), IEEE COMPUTER SOC, CA, San Francisco, pp. 1623 - 1637, presented at 43rd IEEE Symposium on Security and Privacy (SP), CA, San Francisco, 23 May 2022 - 26 May 2022, http://dx.doi.org/10.1109/SP46214.2022.00141

Chen Z; Wu J; Zhang Q; Xue J, 2022, 'A dynamic analysis tool for memory safety based on smart status and source-level instrumentation', in Proceedings of the ACM/IEEE 44th International Conference on Software Engineering: Companion Proceedings, ACM, presented at ICSE '22: 44th International Conference on Software Engineering, http://dx.doi.org/10.1145/3510454.3516872

Wang Q; Zheng L; Hu A; Huang Y; Yao P; Gui C; Liao X; Jin H; Xue J, 2022, 'A Data-Centric Accelerator for High-Performance Hypergraph Processing', in Proceedings of the Annual International Symposium on Microarchitecture, MICRO, pp. 1326 - 1341, http://dx.doi.org/10.1109/MICRO56248.2022.00088

Chen Z; Wu J; Zhang Q; Xue J, 2022, 'A Dynamic Analysis Tool for Memory Safety Based on Smart Status and Source-Level Instrumentation', in Proceedings - International Conference on Software Engineering, pp. 6 - 10, http://dx.doi.org/10.1109/ICSE-Companion55297.2022.9793834

Huang Y; Zheng L; Yao P; Wang Q; Liao X; Jin H; Xue J, 2022, 'Accelerating Graph Convolutional Networks Using Crossbar-based Processing-In-Memory Architectures', in Proceedings - International Symposium on High-Performance Computer Architecture, pp. 1029 - 1042, http://dx.doi.org/10.1109/HPCA53966.2022.00079

Yin J; Li M; Wu W; Sun D; Zhou J; Huo W; Xue J, 2022, 'Finding SMM Privilege-Escalation Vulnerabilities in UEFI Firmware with Protocol-Centric Static Analysis', in Proceedings - IEEE Symposium on Security and Privacy, pp. 1623 - 1637, http://dx.doi.org/10.1109/SP46214.2022.9833723

Xu X; Wang X; Xue J, 2022, 'M3V: Multi-modal Multi-view Context Embedding for Repair Operator Prediction', in CGO 2022 - Proceedings of the 2022 IEEE/ACM International Symposium on Code Generation and Optimization, pp. 266 - 277, http://dx.doi.org/10.1109/CGO53902.2022.9741261

Wang X; Xu X; Li Q; Yuan M; Xue J, 2022, 'Recovering Container Class Types in C++ Binaries', in CGO 2022 - Proceedings of the 2022 IEEE/ACM International Symposium on Code Generation and Optimization, pp. 131 - 143, http://dx.doi.org/10.1109/CGO53902.2022.9741274

Yao P; Zheng L; Huang Y; Wang Q; Gui C; Zeng Z; Liao X; Jin H; Xue J, 2022, 'ScalaGraph: A Scalable Accelerator for Massively Parallel Graph Processing', in Proceedings - International Symposium on High-Performance Computer Architecture, pp. 199 - 212, http://dx.doi.org/10.1109/HPCA53966.2022.00023

Chen Z; Wang C; Yan J; Sui Y; Xue J, 2021, 'Runtime detection of memory errors with smart status', in ISSTA 2021 - Proceedings of the 30th ACM SIGSOFT International Symposium on Software Testing and Analysis, pp. 296 - 308, http://dx.doi.org/10.1145/3460319.3464807

He D; Lu J; Gao Y; Xue J, 2021, 'Accelerating object-sensitive pointer analysis by exploiting object containment and reachability', in Leibniz International Proceedings in Informatics, LIPIcs, http://dx.doi.org/10.4230/LIPIcs.ECOOP.2021.16

Yuan T; Li G; Lu J; Liu C; Li L; Xue J, 2021, 'GoBench: A Benchmark Suite of Real-World Go Concurrency Bugs', in CGO 2021 - Proceedings of the 2021 IEEE/ACM International Symposium on Code Generation and Optimization, pp. 187 - 199, http://dx.doi.org/10.1109/CGO51591.2021.9370317

Li G; Xue J; Liu L; Wang X; Ma X; Dong X; Li J; Feng X, 2021, 'Unleashing the Low-Precision Computation Potential of Tensor Cores on GPUs', in CGO 2021 - Proceedings of the 2021 IEEE/ACM International Symposium on Code Generation and Optimization, pp. 90 - 102, http://dx.doi.org/10.1109/CGO51591.2021.9370335

He D; Lu J; Xue J, 2021, 'Context Debloating for Object-Sensitive Pointer Analysis', in Proceedings - 2021 36th IEEE/ACM International Conference on Automated Software Engineering, ASE 2021, pp. 79 - 91, http://dx.doi.org/10.1109/ASE51524.2021.9678880

Liu C; Lu J; Li G; Yuan T; Li L; Tan F; Yang J; You L; Xue J, 2021, 'Detecting TensorFlow Program Bugs in Real-World Industrial Environment', in Proceedings - 2021 36th IEEE/ACM International Conference on Automated Software Engineering, ASE 2021, pp. 55 - 66, http://dx.doi.org/10.1109/ASE51524.2021.9678891

Yao P; Zheng L; Zeng Z; Huang Y; Gui C; Liao X; Jin H; Xue J, 2020, 'A locality-aware energy-efficient accelerator for graph mining applications', in Proceedings of the Annual International Symposium on Microarchitecture, MICRO, pp. 895 - 907, http://dx.doi.org/10.1109/MICRO50266.2020.00077

Liu J; He D; Wu D; Xue J, 2020, 'Correlating ui contexts with sensitive api calls: Dynamic semantic extraction and analysis', in Proceedings - International Symposium on Software Reliability Engineering, ISSRE, pp. 241 - 252, http://dx.doi.org/10.1109/ISSRE5003.2020.00031

Wu D; He D; Chen S; Xue J, 2020, 'Exposing android event-based races by selective branch instrumentation', in Proceedings - International Symposium on Software Reliability Engineering, ISSRE, pp. 265 - 276, http://dx.doi.org/10.1109/ISSRE5003.2020.00033

Li W; Wu L; Yuan M; Xue CJ; Xue J; Li Q, 2020, 'Loop2Recursion: Compiler-Assisted Wear Leveling for Non-Volatile Memory', in Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp. 581 - 588, http://dx.doi.org/10.1109/ICCD50377.2020.00102

Wu M; Liu Y; Cui H; Wei Q; Li Q; Li L; Lv F; Xue J; Feng X, 2020, 'Bandwidth-aware loop tiling for DMA-supported scratchpad memory', in Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, pp. 97 - 109, http://dx.doi.org/10.1145/3410463.3414637

Yu F; Zhao J; Cui H; Feng X; Xue J, 2020, 'VTensor: Using virtual tensors to build a layout-oblivious AI programming framework', in Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, pp. 345 - 346, http://dx.doi.org/10.1145/3410463.3414664

Xu X; Zou C; Xue J, 2020, 'Every Mutation Should Be Rewarded: Boosting Fault Localization with Mutated Predicates', in Proceedings - 2020 IEEE International Conference on Software Maintenance and Evolution, ICSME 2020, pp. 196 - 207, http://dx.doi.org/10.1109/ICSME46990.2020.00028

Zou C; Xue J, 2020, 'Burn after reading: A shadow stack with microsecond-level runtime rerandomization for protecting return addresses', in Proceedings - International Conference on Software Engineering, pp. 258 - 270, http://dx.doi.org/10.1145/3377811.3380439

Xue J; Jung C, 2020, 'Message from the Chairs', in Proceedings of the ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), pp. III

Huang Y; Zheng L; Yao P; Zhao J; Liao X; Jin H; Xue J, 2020, 'A Heterogeneous PIM Hardware-Software Co-Design for Energy-Efficient Graph Processing', in Proceedings - 2020 IEEE 34th International Parallel and Distributed Processing Symposium, IPDPS 2020, pp. 684 - 695, http://dx.doi.org/10.1109/IPDPS47924.2020.00076

Zheng L; Zhao J; Huang Y; Wang Q; Zeng Z; Xue J; Liao X; Jin H, 2020, 'Spara: An Energy-Efficient ReRAM-Based Accelerator for Sparse Graph Analytics Applications', in Proceedings - 2020 IEEE 34th International Parallel and Distributed Processing Symposium, IPDPS 2020, pp. 696 - 707, http://dx.doi.org/10.1109/IPDPS47924.2020.00077

Lv F; Li H; Wang L; Liu Y; Cui H; Xue J; Feng X, 2020, 'Referee: A Pattern-Guided Approach for Auto Design in Compiler-Based Analyzers', in SANER 2020 - Proceedings of the 2020 IEEE 27th International Conference on Software Analysis, Evolution, and Reengineering, pp. 1 - 12, http://dx.doi.org/10.1109/SANER48275.2020.9054849

Zheng L; Li X; Zheng Y; Huang Y; Liao X; Jin H; Xue J; Shao Z; Hua QS, 2020, 'Scaph: Scalable GPU-accelerated graph processing with value-driven differential scheduling', in Proceedings of the 2020 USENIX Annual Technical Conference, ATC 2020, pp. 573 - 588

Yuan Z; Xu J; Piao A; Xuey J; Huo W; Feng M; Li F; Ban G; Xiao Y; Wang S; Tang Q; Su H; Yu C, 2019, 'B2SFinder: Detecting open-source software reuse in COTS software', in Proceedings - 2019 34th IEEE/ACM International Conference on Automated Software Engineering, ASE 2019, pp. 1038 - 1049, http://dx.doi.org/10.1109/ASE.2019.00100

He D; Li H; Wang L; Meng H; Zheng H; Liu J; Hu S; Li L; Xue J, 2019, 'Performance-boosting sparsification of the IFDS algorithm with applications to taint analysis', in Proceedings - 2019 34th IEEE/ACM International Conference on Automated Software Engineering, ASE 2019, pp. 267 - 279, http://dx.doi.org/10.1109/ASE.2019.00034

Yan H; Chen S; Sui Y; Zhang Y; Zou C; Xue J, 2019, 'Per-Dereference Verification of Temporal Heap Safety via Adaptive Context-Sensitive Analysis', in Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), Porto, Portugal, pp. 48 - 72, presented at 26th international symposium, SAS 2019, Porto, Portugal, October 8-11, 2019, Porto, Portugal, 08 October 2019 - 11 October 2019, http://dx.doi.org/10.1007/978-3-030-32304-2_4

Zou C; Sui Y; Yan H; Xue J, 2019, 'TCD: Statically Detecting Type Confusion Errors in C++ Programs', in Proceedings - International Symposium on Software Reliability Engineering, ISSRE, pp. 292 - 302, http://dx.doi.org/10.1109/ISSRE.2019.00037

Sui Y; Zhang Y; Zheng W; Zhang M; Xue J, 2019, 'Event trace reduction for effective bug replay of Android apps via differential GUI state analysis', in ESEC/FSE 2019 - Proceedings of the 2019 27th ACM Joint Meeting European Software Engineering Conference and Symposium on the Foundations of Software Engineering, pp. 1095 - 1099, http://dx.doi.org/10.1145/3338906.3341183

Chen Z; Yan J; Kan S; Qian J; Xue J, 2019, 'Detecting memory errors at runtime with source-level instrumentation', in ISSTA 2019 - Proceedings of the 28th ACM SIGSOFT International Symposium on Software Testing and Analysis, pp. 374 - 377, http://dx.doi.org/10.1145/3293882.3330581


Back to profile page